diff --git a/dev-perl/TryCatch/TryCatch-1.003002.ebuild b/dev-perl/TryCatch/TryCatch-1.003002.ebuild index a5d2c7d..15047d0 100644 --- a/dev-perl/TryCatch/TryCatch-1.003002.ebuild +++ b/dev-perl/TryCatch/TryCatch-1.003002.ebuild @@ -16,5 +16,6 @@ IUSE="" RDEPEND=" dev-perl/Devel-Declare dev-perl/Module-Install + dev-perl/Sub-Name " DEPEND="${RDEPEND}"